您好,欢迎进入山西润盛进出口有限公司!

咨询服务热线

15383419322

FPGA三人表决器

发布时间:2023-12-20 10:46人气:

一、项目介绍

相信大家对电视中的选秀节目并不陌生,我们常常能够见到一种比赛规则:当三名评委中有两名及以上同意选手晋级时,该选手才能晋级,那么如何去实现该项目呢?

二、实现原理

其实这一切都离不开数字电路,首先我们可以绘制出三人表决器的真值表,然后用Verilog去实现它,真值表如下:

三、硬件部分

通过对此项目进行评估我们发现:1.该项目需要三个输入,我们可以通过拨码开关进行实现;2.需要显示模块来表示投票情况,这里我们选用数码管进行实现;


四、Verilog实现

//******************************************************************** //>>>>>>>>>>>>>>>>>>>>>>>>>COPYRIGHTNOTICE<<<<<<<<<<<<<<<<<<<<<<<<< //******************************************************************** //Filename:debounce.v //Modulename:debounce //Author:STEP //Description: ////-------------------------------------------------------------------- //CodeRevisionHistory: //-------------------------------------------------------------------- //Version:|Mod.Date:|ChangesMade: //V1.0|2017/03/14|Initialver //-------------------------------------------------------------------- //ModuleFunction:三人表决器 modulevoter(a,b,c,num_led,result_led); inputa; //评委A inputb; //评委B inputc; //评委C output[2:0]num_led; //投票数显示; outputresult_led; //投票结果显示; assignnum_led=~{a,b,c}; //用三个LED表示投票情况,另根据硬件电路,LED为0时亮,故取反 assignresult_led=~((!a&b&c)||(a&!b&c)||(a&b&!c)||(a&b&c))?1:0; //此处请参阅真值表,另根据硬件电路,LED为0时亮,故取反 endmodule


五、实现效果

拨码开关1、2、3分别代表评委A、B、C,LED1~3代表投票状态,例如,评委A投票,LED1亮,LED4代表是否通过。下图为无人投票时,

下图为有一位评委投票时,

下图为两人进行投票时,可见投票通过指示灯已经亮起;

最后,三人同时投票

  • 联系方式
  • 传 真:
  • 手 机:15383419322
  • 电 话:15383419322
  • 地 址:山西太原市杏花岭区解放路 175 号万达中心 A 座 33 楼 3301 室
友情链接
plc控制器
自动化设备
自动化设备
伺服驱动器
在线咨询

咨询电话:

15383419322

  • 微信扫码 关注我们

Copyright © 2022-2024 山西润盛进出口有限公司 版权所有 晋ICP备2021008479号-14

晋公网安备 14010702070906号

扫一扫咨询微信客服
15383419322